Indice articoli sulle FPGA

Logiche Programmabili: Un possibile percorso per semplici appassionati
Panoramica per appassionati non professionali su dove partire, possibili applicazioni ed il progetto di una scheda di sviluppo con CPLD per sperimentare gradualmente con le logiche programmabili

Introduzione a Quartus II e ModelSim
Tramite una videolezione creiamo e simuliamo un semplice hardware su FPGA per introdurre i programmi di sviluppo. Disponibile anche un tutorial in PDF sull'argomento che avevo scritto qualche tempo fa, non parla dell'esempio dell'articolo e del video ma può essere utile per chi desiderasse avere una traccia dei passaggi fondamentali.

I testbench
Creiamo un test per il circuito VHDL creato nell'articolo precedente e lo eseguiamo tramite ModelSim.

Compilazione parallela gratuita con Quartus II
Abilitiamo la funzionalità TalkBack per usufruire della compilazione parallela su più processori

Alla scoperta dello spazio soluzioni del Fitter
Utilizziamo il tool Design Space Explorer per trovare soluzioni migliori per il nostro design

Compilazione distribuita
Compiliamo dividendo il lavoro con computer remoti per ridurre i tempi di compilazione

Linee guida e Design Assistant, analizziamo un caso di metastabilità
Scopriamo lo strumento Design Assistant per individuare un problema di metastabilità che risolviamo tramite un reset con de-asserzione sincrona

Studiamo la soluzione circuitale introdotta nell'articolo precedente e analizziamo con Quartus il MTBF (tempo medio di guasto) della metastabilità

Verifica della memoria AL422B tramite SignalTap II Logic Analyzer
Creiamo e verifichiamo tramite lo strumento SignalTap II Logic State Analyzer di Quartus un design che utilizza la memoria FIFO AL422B, molto utile in applicazioni video

Approfondiamo il confine tra il linguaggio VHDL e le librerie standard
Esploriamo il file sorgente del package standard e delle librerie standardizzate per capire i confini tra linguaggio e librerie

Rilevazione di fronti
Analizziamo la differenza tra clock'event and clock='0/1' e le funzioni rising_edge e falling_edge

Strumenti di sviluppo Xilinx e Windows 8
Installiamo Xilinx ISE in Windows 8 tramite VirtualBox su una macchina virtuale

Comunicazione ad alta velocità tramite USB 2.0
  • Prima parte - Introduzione e UM232H 
    Presentiamo un metodo efficace per collegare tramite interfaccia FIFO le logiche programmabili a dispositivi come PC dove siano presenti porte USB ad alta velocità.
  • Seconda parte - DE0-Nano
    Illustriamo il funzionamento dell’IC FT232H per poterlo così interfacciare alla nostra scheda FPGA DE0-Nano
  • Terza parte - Software
    Sviluppiamo il software tramite D2XX per leggere e scrivere dati con la FPGA
  • Download
Novità dal settore delle FPGA
Una breve carrellata sulle nuove schede di sviluppo BeMicro CV A9 e Nexys Video e l'analisi delle caratteristiche principali della serie Cyclone V.

Cyclone V SoC
  • Cyclone V SoC e DE1-SoC 
    Approfondiamo alcune caratteristiche della serie Cyclone V ed evidenziamo alcune differenze con la precedente serie Cyclone IV con particolare riferimento al device sulla scheda di sviluppo DE1-SoC. Utili consigli inoltre su come per migliorare la dissipazione termica della scheda di sviluppo.
  • Gli strumenti di sviluppo per ARM
    Introduzione alla SoC Embedded Design Suite (SoC EDS)
  • Ethernet senza router con DE1-SoC
    Configuriamo il sistema Linux fornito da Terasic per l'utilizzo di un IP Statico al fine di interfacciare senza router la scheda al PC ed evitare di utilizzare la lenta connessione seriale tramite usb in modo pratico
  • Configuriamo ARM DS-5
    In particolare all’interno dello stesso programma riusciremo ad avere sotto controllo il filesystem, i processi ed un terminale per interagire col nostro sistema ed il debug remoto dei nostri programmi.
Xilinx ISE ed Internet Explorer 11
Risolviamo un problema che è riscontrabile in ChipScope dopo l'aggiornamento del browser

Scripting con TCL
Scopriamo un'interessante funzionalità presente nella maggior parte degli EDA per automatizzare task comuni. Vediamo come creare automatica le impostazioni di lancio di molteplici file di testbench.

Engineering Change Orders
Modifichiamo senza una ricompilazione completa alcune parti del progetto come la frequenza di uscita del PLL tramite gli strumenti ECO di Quartus.

Numeri a virgola fissa (prima parte)
Vediamo in questo articolo un'introduzione ai numeri in virgola fissa e le prestazioni delle principali operazioni aritmetiche.

Numeri a virgola fissa (seconda parte)
Esploriamo ulteriori funzioni per lavorare in virgola fissa, le regole di ridimensionamento e la differenza tra circuiti generati con arrotondamento e saturazione rispetto a quelli con troncamento nel ridimensionamento del risultato

Comunicazione tramite JTAG UART con C#
Breve panoramica di metodi alternativi di comunicazione tra FPGA e PC e presentazione di una libreria C# per l'utilizzo con l'IP JTAG_UART su FPGA Altera.

Computer Vision con D8M-GPIO


NB: New posts (below) are written only in English



Clock Domain Crossing (CDC)



Nota: In realtà spesso gli articoli riguardano più ampiamente le logiche programmabili in generali: FPGA, CPLD, etc.. solitamente però è ricercata maggiormente la parola FPGA

6 commenti:

  1. Your articles are like gold. Thank you.

    RispondiElimina
  2. Sarebbe interessante anche qualche articolo su Nios II

    RispondiElimina
  3. Concordo e.. resta sintonizzato sul blog, non si sa mai..

    RispondiElimina
  4. Non conoscevo le funzionalità ECO di Quartus, neanche l'acronimo a dir la verità. Sempre molto interessante il tuo blog!

    RispondiElimina